狂野星球应用商店 / 最可靠商店,盘它就对了!

热门搜索:WPS Office安卓模拟器爱奇艺office2003PPTV网络电视360安全卫士

您的位置: 首页 > 电脑软件 > 应用软件 > 行业软件 > Aldec ALINT PRO(代码仿真软件) V2021.09 官方版
Aldec ALINT PRO(代码仿真软件) V2021.09 官方版 / Aldec ALINT PRO下载 版本

  Aldec ALINT PRO是一款功能十分强大的代码分析仿真软件,该软件基于全新的代码验证解决方案制作,可广泛应用于VHDL、Verilog 和 SystemVerilog代码验证,能够帮助设计师高效率地解决设计阶段所出现的问题,大大提高用户的工作效率,喜欢的小伙伴千万不要错过哦。

Aldec ALINT PRO

【功能介绍】

  1、静态设计验证

  该软件是针对用VHDL、Verilog和SystemVerilog编写的RTL代码的设计验证解决方案,专注于验证编码风格和命名约定、RTL和综合后仿真不匹配、平滑和优化综合、正确的FSM描述、避免进一步设计阶段的问题、时钟和复位树问题、CDC、RDC、DFT以及可移植性和重用的编码。该解决方案基于RTL和SDC™源文件执行静态分析,在设计周期的早期发现关键设计问题,从而显着缩短设计签核时间。在RTL仿真和逻辑综合阶段之前运行该软件可防止设计问题蔓延到设计流程的下游阶段,并减少完成设计所需的迭代次数。

  2、DRC和CDC/RDC分析的单一框架

  该软件具有精心设计的直观框架,它提供了用于高效设计分析的功能,包括RTL原理图查看器、FSM查看器、时钟和复位查看器、控制原理图查看器、详细说明查看器、违规查看器以及CDC查看器等特殊工具,用于时钟和复位域交叉分析的RDC查看器和CDC原理图。

  通过读取外部项目文件格式(Aldec Active-HDL、Aldec Riviera-PRO、Xilinx Vivado、Xilinx ISE、英特尔Quartus)、解释典型仿真脚本(兼容命令,如vcom、vlog、vsim、vlib)以及用于批处理模式工具的传统文件列表,以及用于直接导入单个文件和整个目录的非常简单的GUI向导。

  3、全芯片级Linting与单元Linting

  支持2种不同的linting方法:全芯片级linting和单元linting。两种方法相辅相成,通常应用于设计周期的不同阶段。

  完整的芯片级linting运行整个设计层次结构的分析,通用参数的特定值从顶层实例传播到叶子模块,并应用用户定义的时序约束。这种方法对于典型的HDL linting工具来说是传统的,并且更经常在签核期间使用,或者用于需要将整个设计作为一个已经集成的系统同时探索的验证类型(时钟和复位检查、CDC、RDC、DFT)。这种方法假设整个或至少大部分被测设计已经实现。

  单元linting是一种相对较新的方法,可以很好地与平面处理相结合模式——一种特殊的细化,它认为HDL设计单元彼此独立,并且使用通用参数的默认值。这种处理风格高度容忍设计中缺失的元素,例如层次结构中尚未实现的部分。这使得单元linting在应用于单个源文件时最有价值,独立于其他文件,以及在设计人员修改文件之后。因此,许多非常有价值的规则检查很早就启用,甚至几乎在编写代码之后立即启用,从而将设计人员的反馈循环从几天或几周压缩到几秒钟。单元linting的一个自然应用是与HDL编辑器的集成,单元linting在后台运行,

  单元linting并不能消除使用完整传统linting的需要,因为独立单元的组合可能会在集成后产生额外的问题。但是,系统地应用单元linting可以显着减少签核期间的验证量。

  4、检查FPGA设计

  该软件以最少的设置顺利地支持针对使用Xilinx、Altera、Microsemi和Lattice技术的FPGA实现的设计运行规则检查。提供最新版本的FPGA供应商库,这些库是预先构建的、默认安装的,并且预先配置用于高级时序和CDC规则检查。

  该软件为基于IP的FPGA设计自动设置分层和增量分析流程。每个IP块可以首先单独分析,提取的时序约束可以被提升和重新用于更快的更高级别的设计分析。除非在原始设计环境中重新配置IP块,否则它的主体只分析一次,从而在主FPGA设计的后续运行中节省大量时间。

  5、批处理模式流

  还可以通过一组丰富的基于TCL的脚本命令访问关键的功能。这些脚本可用于自动化设计规则检查、重复设置和报告生成。这些脚本可以在交互式控制台shell或全自动批处理模式下运行。最后,该软件能够使用单个命令行shell应用程序调用以真正的批处理模式运行最典型的设计输入和linting场景,这使得它非常适合与更大的回归测试脚本和持续集成环境集成,例如詹金斯。

  6、可用规则库

  该软件包括基于STARC(半导体技术学术研究中心)和RMM(重用方法手册)设计指南的规则库,它利用了世界各地半导体公司在设计开发中使用的最佳实践。对于安全关键设计,该软件提供基于DO-254指南的规则库,专注于影响设计稳定性的关键问题分析。对于RISC-V设计社区,该软件提供了RISC-V规则插件,该插件基于经过行业验证的最佳IP设计实践和指南。

  主要规则插件辅以高度可配置的Aldec Basic和Premium规则库,这些规则库由该软件在FPGA和ASIC数字设计方面的内部经验驱动,并包括现有客户推荐的许多附加功能,以对抗芯片杀手工业规模设计中的问题。SV插件针对特定于SystemVerilog设计子集的新型RTL错误。

  ALDEC_CDC规则插件将该软件转变为全面的CDC和RDC验证解决方案,能够在现代多时钟和多复位设计中进行复杂的时钟和复位域交叉分析和亚稳态问题的处理。该软件中的验证策略由三个关键要素组成:静态结构验证、设计约束设置和动态功能验证。前两个步骤在该软件中执行,而动态检查通过与模拟器(Riviera-PRO™、Active-HDL™)的集成来实现,和ModelSim®支持)基于自动生成的测试平台。这种方法揭示了RTL模拟过程中潜在的亚稳态问题,否则将需要检测实验室测试。CDC和RDC问题的调试是通过丰富的原理图和HDE交叉探测机制以及综合报告和基于TCL的API实现的,允许浏览综合结果、时钟和复位结构、检测时钟和复位域交叉,并识别同步器。

Aldec ALINT PRO

【软件特色】

  1、经行业验证的指南

  支持基于STARC(半导体技术学术研究中心)和RMM(重用方法手册)指南的规则检查,以利用主要半导体公司在设计开发中使用的最佳实践。

  对于安全关键型设计,该软件提供DO-254规则插件,专注于设计稳定性,建议帮助实现符合DO-254标准的设计。

  对于RISC-V设计社区,该软件提供了RISC-V规则插件,该插件基于经过行业验证的最佳IP设计实践和指南。

  ALDEC Basic和Premium规则插件收集了客户和内部设计专家的综合知识,可以补充上述插件,而SV插件针对特定于SystemVerilog设计子集的新类型RTL错误。

  该软件包含强大的策略编辑器,可根据设计需求快速构建高效的规则配置。

  2、CDC和RDC验证

  该软件具有可选的ALDEC_CDC规则插件,可在单个产品中实现时钟和复位域交叉(CDC、RDC)的全部功能和RTL分析。

  它通过基于断言和亚稳态仿真的动态检查来增强验证,并提供额外的调试功能,例如时钟和复位域的示意图突出显示,以及浏览检测到的域交叉和识别的同步器。

  3、DFT验证

  该软件支持一组专用规则,用于在RTL设计阶段验证来自外部端口的时钟和复位可控性,从而使后续设计阶段的设计测试更容易。

Aldec ALINT PRO

  4、设计约束设置

  该软件可以读取先前为综合和静态时序分析工具创建的现有SDC™约束文件。该工具还可以根据拓扑分析自动生成初始SDC模板,包括主时钟和生成时钟、I/O延迟和异步时钟组的定义

  5、设计约束扩展

  该软件提供了对设计约束的自定义扩展,一种易于阅读且直接的块级约束格式,用于描述不可综合的行为模块、具有受保护代码的IP模块、供应商库单元等。使用约束来描述模块的接口用等效模型替换网表中的黑匣子,从而实现精确的linting。还可以描述设计人员对复位控制、自定义同步单元和安全CDC路径(包括具有准静态源的路径)的意图。

  6、框架

  该软件提供了一个紧密集成的GUI框架,具有直观的界面和高效的问题分析手段。该框架包括许多视图:Schematic Viewer–提供完全综合网表的图形表示以及时钟域和违规路径突出显示。控制原理图以图形方式展示了时钟和复位之间的关系。

  CDC Schematics是域交叉和同步器的专用可视化;时钟和复位查看器——显示时钟和复位网络以及它们传播通过的所有引脚和网络;违规查看器——通过各种标准启用违规过滤,添加豁免,交叉探测到HDL和示意图,并允许访问摘要数据。

  Active-HDL™、Riviera-PRO™、Vivado™和Quartus™项目可以自动转换为ALINT-PRO格式,显着缩短设计设置时间。

Aldec ALINT PRO

【配置要求】

  支持的平台:Linux®(32/64 位)/Windows® 10/8.1/8/7(32/64 位)

  框架:GUI、交互式控制台和批处理模式宏、Tcl、Perl 脚本支持

  支持的标准:Verilog® IEEE 1364(1995 和 2001),SystemVerilog® IEEE 1800(2005 和 2009),VHDL IEEE 1076(1987、1993、2002 和 2008)

  规则库:ALDEC_BASIC(VHDL 和 Verilog)防止简单编码错误(命名、样式、格式等)的基本规则,ALDEC_RESTRICTIONS(VHDL 和 Verilog)

  强烈推荐的规则旨在避免有害的芯片缺陷。

  核心机制:时钟和复位自动检测,基于与时钟/复位引脚的直接连接和通过传播自动检测设计控制信号不同的生成模式。

  读取和生成 SDC™ 约束:Aldec ALINT PRO 2021可以根据设计分析建议约束并考虑用户指定的约束。

  许可部分:IP描述的设计约束扩展,设计约束的扩展,为工具提供有关没有 RTL 描述的单元的信息。

标签: ALINT PRO 仿真软件 代码仿真

人气软件
  • 下载 规划酱国土空间规划工具箱(ArcGIS工具箱) V3.0 最新免费版

    国土空间规划工具箱是一款由作者规划酱所推出的ArcGIS工具箱。该GIS工具箱包含了规划工具集、现状工具集、注册工具三个板块,同时也是非常不错的天地卫星图加载工具,能够有效提升规划人员的工作效率,节省时间。
  • 下载 MESHEL驾考通电脑版 V3.2 官方最新版

    MESHEL驾考通电脑版是一款非常专业的驾考模拟考试系统。安装该软件之后,就能在电脑上直接进行模拟驾驶证考试练习,包含了所有驾考科目的内容,支持双语(简体中文以及维语)学习,让你稳稳通过考试。
  • 下载 PC2LCD 2021 V0.0.0.100 不完美版

    PC2LCD取模软件是一款功能强大的文字取模软件,能够帮助用户轻松进行各种文字的排版,软件支持逐行、逐列、行列、列行等方式生成中英文数字混合的字符串的字模数据。
  • 下载 meshel驾考通维语版 V3.3 官方最新版

    meshel驾考通是一款非常专业的驾考模拟考试系统。该版本是维语版,给疆维吾尔自治区用户带来更多的阅读便利,安装这款软件,就能在电脑上直接进行模拟驾驶证考试练习。
  • 下载 SuperWORKS工业自动化版 V1.0 官方版

    SuperWORKS工业自动化版是一款功能强大的CAD制图软件,针对工业自动化行业的电气设计,能够帮助用户轻松快速的绘制出各种专业图纸。
其他版本下载

更多(30)>爱奇艺VIP会员账号获取器

爱奇艺是一款目前非常流行的视频播放器,它为用户提供了丰富、清晰、流畅的视频资源,创造完美的观影体验。不过爱奇艺有一部分视频资源需要会员才能观看,考虑到会员资费比较昂贵不划算,小编从网上收集了各类爱奇艺会员号免费共享软件,让你可以免费获取最新的VIP账号,无论什么样的收费视频都能随便看。 查看 >>
回顶部 去下载

关于本站|下载帮助|下载声明|软件发布|联系我们

Copyright © 2023 狂野星球应用商店 www.wildstar.com.cn.All rights reserved.

蜀ICP备2022004054号